资讯 更多 >>
皇台酒业2023年半年度董事会经营评述
EV6重磅上市,EV5开启预售,起亚品...
佳偶天成琴瑟和鸣什么意思(琴瑟和...
绿城集团:关联方购买公司14只债券...
农业银行副行长张旭光:城投贷款占...
快评丨中国男篮接连两场脆败,输球...
海南省一次性扩岗补助政策延续实施...
闹大了!湖北女子白天车内出轨,衣...
莱州派瑞克工艺品有限公司(关于莱州...
海南启动2023年度“乡村强师工程”...
动态更多 >>
萧山开市客旁边有哪些房子可买?
共进股份:8月28日融券卖出金额149....
手机充不进电什么原因怎么办
北京海淀:新时代文明实践推动日系...
海口这栋百年骑楼,曾经很“出圈”!
家家悦上半年实现净利润约1.84亿元...
韩国多个海鲜市场几乎空无一人 基...
就市论市|管涛:非对称降息或为存...
肠道为什么会长息肉?多半与这几个...
宜昌保租房先租后售条件
会展 更多 >>
白人男子枪杀3名黑人后自杀,事发美...
最新!2023沈阳房产交易税费优惠政策汇总
莲花坪村(关于莲花坪村简述)
奉贤区公租房如何申请?需要满足什...
中控/中大力德/雷赛/鸣志/信捷/新风...
东富龙股东户数增加6.47%,户均持股...
前7个月原材料工业增加值同比增长5....
从“百度百科”定义的产品经理即产...
【世界说】美媒:美国最富有的污染...
葡萄白腐病(关于葡萄白腐病简述)
专题报道 
当前位置: 需求 > >> 正文
 
SystemVerilog|UVM|超实用!盘点UVM不同机制的调试功能
来源:面包芯语     时间:2023-08-30 01:58:24

作为UVM的用户,我们需要相信在绝大部分情况下这些机制本身是没有问题的。因此,从顶层获得有效的调试信息就非常关键,比起潜入到内部要高效得多。

UVM将不同机制的调试功能封装成了命令行参数。在运行仿真的时候带上这些参数,就可以在仿真日志中打印出来对应的详细调试信息。本节将这些命令行参数都梳理出来,并附有仿真日志截图。


(资料图片)

+UVM_CONFIG_DB_TRACE: 打开访问Config_db的追踪(tracing)功能,可以看到config_db的set和get操作在什么时间由什么组件发起。

+UVM_PHASE_TRACE:打开Phase执行的追踪功能,可以看到不同phase的执行顺序。

+UVM_OBJECTION_TRACE:打开Objection相关活动的追踪功能,可以清晰地呈现出objection在运行中的状态。

+UVM_VERBOSITY:设置打印信息的冗余程度,有效地控制不同重要性信息的打印和屏蔽。

除了命令行参数,UVM还提供了很多内置打印函数。这些函数可以在验证环境中被调用,调用后会将对应的数据结构按照强可读性的格式打印到仿真日志中,为环境调试工作提供极大的便利。

uvm_root::print_topology():打印整个验证环境的组件拓扑结构

uvm_component::print_config():打印当前组件可见视角下的所有配置资源信息

uvm_component::print_override_info():打印当前组件的工厂重载信息

uvm_factory::print():打印全局工厂中注册的类型以及instance和type重载信息

uvm_factory::debug_create_by_type():打印工厂根据参数实际会创建的类型

uvm_port_base::debug_connected_to():打印当前端口连接的下游端口网络,包括port/export/imp

参考资料

[1] Accellera Systems Initiative. "Universal Verification Methodology (UVM) 1.2 Class Reference" (2014).

关键词:

热门推荐
猜你喜欢